Difference between revisions of "Main Page"

From Verific Design Automation FAQ
Jump to: navigation, search
m
m
Line 17: Line 17:
 
* [[How to get module ports from Verilog parsetree | Verilog: From the Verilog parsetree, how can I get the ports of a module?]]
 
* [[How to get module ports from Verilog parsetree | Verilog: From the Verilog parsetree, how can I get the ports of a module?]]
 
* [[How to change name of id in Verilog parsetree | Verilog: How do I change the name of an id (VeriidDef) in Verilog parsetree?]]
 
* [[How to change name of id in Verilog parsetree | Verilog: How do I change the name of an id (VeriidDef) in Verilog parsetree?]]
 +
* [[How to get linefile information of macro definitions | Verilog: How do I get linefile information of macro definitions?]]
 
* [[Constant expression replacement | Verilog: Does Verific replace constant expressions with their respective values?]]
 
* [[Constant expression replacement | Verilog: Does Verific replace constant expressions with their respective values?]]
 
* [[SystemVerilog "std" package | Verilog: Support for SystemVerilog semaphore/process/mailbox constructs.]]
 
* [[SystemVerilog "std" package | Verilog: Support for SystemVerilog semaphore/process/mailbox constructs.]]

Revision as of 16:31, 22 March 2017

General

VHDL, Verilog (and SystemVerilog), Liberty, EDIF

Output

TCL, Perl, Python, Java