Uncategorized pages

Jump to: navigation, search

Showing below up to 127 results in range #1 to #127.

View (previous 500 | next 500) (20 | 50 | 100 | 250 | 500)

  1. A customer wants to analyze/elaborate
  2. Access attributes in parsetree
  3. Access attributes of ports in parsetree
  4. Accessing and evaluating module's parameters
  5. Bit-blasting a multi-port RAM instance
  6. Black box, empty box, and unknown box
  7. Buffering signals and ungrouping
  8. Comment out a line using test-based design modification and parsetree modification
  9. Comment out a line using text based design modification and parsetree modification
  10. Compile-time/run-time flags
  11. Constant expression replacement
  12. Create DOT diagram of parse tree
  13. Create a Netlist Database from scratch (not from RTL elaboration)
  14. Cross-reference between the original RTL files and the elaborated netlist
  15. Defined macros become undefined - MFCU vs SFCU
  16. Design with System Verilog and Verilog 2001 files
  17. Design with VHDL-1993 and VHDL-2008 files
  18. Difference between RTL and gate-level simulations - Flipflop with async set and async reset
  19. Does Verific build CDFG?
  20. Does Verific support XMR?
  21. Does Verific support cross
  22. Does Verific support cross module references (XMR)?
  23. Escaped identifiers in RTL files and in Verific data structures
  24. Evaluate 'for-generate' loop
  25. Extract clock enable
  26. Fanout cone and grouping
  27. Finding hierarchical paths of a Netlist
  28. General
  29. Getting instances' parameters
  30. Hierarchy tree RTL elaboration
  31. How Verific elaborator handles blackboxes/unknown boxes
  32. How do I know
  33. How do I know what language a Netlist in the netlist database comes from?
  34. How to change name of id in Verilog parsetree
  35. How to check for errors in analysis/elaboration
  36. How to create a Netlist database from scratch (not from RTL input)
  37. How to create new module in Verilog parsetree
  38. How to detect multiple-clock-edge condition in Verilog parsetree
  39. How to find port dimensions
  40. How to get all Verilog files being analyzed
  41. How to get best support from Verific
  42. How to get driving net of an instance
  43. How to get enums from Verilog parsetree
  44. How to get full hierarchy ID path
  45. How to get library containing nested module
  46. How to get linefile data of macros - Macro callback function
  47. How to get linefile information of macro definitions
  48. How to get module ports from Verilog parsetree
  49. How to get packed dimensions of enum
  50. How to get type/initial value of parameters
  51. How to identify packages being imported into a module
  52. How to ignore a (not used) parameter/generic in elaboration.
  53. How to ignore certain modules while analyzing input RTL files
  54. How to ignore parameters/generics in elaboration
  55. How to make lives easier
  56. How to parse a string
  57. How to save computer resources
  58. How to tell if a module has encrypted contents
  59. How to traverse scope hierarchy
  60. How to use MessageCallBackHandler Class
  61. How to use RegisterCallBackMsg()
  62. How to use RegisterPragmaRefCallBack()
  63. I'm using -v, -y,
  64. I have a design consisting of
  65. In Verilog parsetree adding names to unnamed instances
  66. Included files associated with a Verilog source file
  67. Instance - Module binding order
  68. LineFile data from input files
  69. Logic optimization across hierarchy boundaries
  70. Macro Callback example
  71. Main Page
  72. Memory elements of a RamNet
  73. Message handling
  74. Modules/design units with " default" suffix in their names
  75. Modules with " 1", " 2", ..., suffix in their names
  76. Modules with ' 1' ' 2' suffix in their names
  77. Notes on analysis
  78. Original RTL language
  79. Output file formats
  80. Parse select modules only and ignore the rest
  81. Parsing from data in memory
  82. Post processing port resolution of black boxes
  83. Preserving user nets - preventing nets from being optimized away
  84. Pretty-print a module and the packages imported by the module
  85. Prettyprint all modules in the design hierarchy
  86. Prettyprint to a string
  87. Process -f file and explore the Netlist Database
  88. Process -f file and explore the Netlist Database (C++)
  89. Process -f file and explore the Netlist Database (py)
  90. Python pretty-printer for gdb
  91. Release version
  92. Remove Verific data structures
  93. Replacing Verific built-in primitives/operators with user implementations
  94. Retrieve package name for user-defined variable types
  95. Simple example of visitor pattern
  96. Simple examples of VHDL visitor pattern
  97. Simulation models for Verific primitives
  98. Source code customization & Stable release services
  99. Static elaboration
  100. Statically elaborate with different values of parameters
  101. Support IEEE 1735 encryption standard
  102. SystemVerilog "std" package
  103. System attributes
  104. Tcl library path
  105. Test-based design modification
  106. Top level module with interface ports
  107. Traverse instances in parsetree
  108. Type Range example
  109. Type Range example with multi-dimensional arrays
  110. Using stream input to ignore input file
  111. VHDL, Verilog, Liberty, EDIF
  112. Verific data structure
  113. Verific data structures
  114. Verilog/C++: How to get full hiererachy ID path : How to get full hiererachy ID path
  115. Verilog/C++: How to use IsUserDeclared() : Example for port associations
  116. Verilog/C++: How to use IsUserDeclared() and port associations
  117. Verilog Port Expressions
  118. Visiting Hierarchical References (VeriSelectedName)
  119. What VeriModule* or VhdlPrimaryUnit* the Netlist comes from?
  120. What are the data
  121. What are the data structures in Verific?
  122. What languages can I use with Verific software?
  123. Where in RTL does it get assigned?
  124. Where in RTL is it get assigned?
  125. While looking at a Netlist
  126. Why are the ports
  127. Write out an encrypted netlist

View (previous 500 | next 500) (20 | 50 | 100 | 250 | 500)